;========================================================== ; GSD-File TJ SANGE ELEC CO.,LTD ; Module Function:Modbus Master to Profibus DP ; Sync_supp, Freeze_supp, Auto_Baud_supp, 12MBaud ; ; V1.0 ; File : Modbus_M-Profibus DP.GSD ;=========================================================== #Profibus_DP PrmText=0 Text (0)="PIWx+0 input" Text (1)="PIWx+2 input" Text (2)="PIWx+4 input" Text (3)="PIWx+6 input" Text (4)="PIWx+8 input" Text (5)="PIWx+10 input" Text (6)="PIWx+12 input" Text (7)="PIWx+14 input" Text (8)="PIWx+16 input" Text (9)="PIWx+18 input" Text (10)="PIWx+20 input" Text (11)="PIWx+22 input" Text (12)="PIWx+24 input" Text (13)="PIWx+26 input" Text (14)="PIWx+28 input" Text (15)="PIWx+30 input" Text (16)="PIWx+32 input" Text (17)="PIWx+34 input" Text (18)="PIWx+36 input" Text (19)="PIWx+38 input" Text (20)="PIWx+40 input" Text (21)="PIWx+42 input" Text (22)="PIWx+44 input" Text (23)="PIWx+46 input" Text (24)="PIWx+48 input" Text (25)="PIWx+50 input" Text (26)="PIWx+52 input" Text (27)="PIWx+54 input" Text (28)="PIWx+56 input" Text (29)="PIWx+58 input" Text (30)="PIWx+60 input" Text (31)="PIWx+62 input" Text (32)="PIWx+64 input" Text (33)="PIWx+66 input" Text (34)="PIWx+68 input" Text (35)="PIWx+70 input" Text (36)="PIWx+72 input" Text (37)="PIWx+74 input" Text (38)="PIWx+76 input" Text (39)="PIWx+78 input" Text (40)="PIWx+80 input" Text (41)="PIWx+82 input" Text (42)="PIWx+84 input" Text (43)="PIWx+86 input" Text (44)="PIWx+88 input" Text (45)="PIWx+90 input" Text (46)="PIWx+92 input" Text (47)="PIWx+94 input" Text (48)="PIWx+96 input" Text (49)="PIWx+98 input" Text (50)="PIWx+100 input" Text (51)="PIWx+102 input" Text (52)="PIWx+104 input" Text (53)="PIWx+106 input" Text (54)="PIWx+108 input" Text (55)="PIWx+110 input" Text (56)="PIWx+112 input" Text (57)="PIWx+114 input" Text (58)="PIWx+116 input" Text (59)="PIWx+118 input" Text (60)="PIWx+120 input" Text (61)="PIWx+122 input" Text (62)="PIWx+124 input" Text (63)="PIWx+126 input" Text (64)="PIWx+128 input" Text (65)="PIWx+130 input" Text (66)="PIWx+132 input" Text (67)="PIWx+134 input" Text (68)="PIWx+136 input" Text (69)="PIWx+138 input" Text (70)="PIWx+140 input" Text (71)="PIWx+142 input" Text (72)="PIWx+144 input" Text (73)="PIWx+146 input" Text (74)="PIWx+148 input" Text (75)="PIWx+150 input" Text (76)="PIWx+152 input" Text (77)="PIWx+154 input" Text (78)="PIWx+156 input" Text (79)="PIWx+158 input" Text (80)="PIWx+160 input" Text (81)="PIWx+162 input" Text (82)="PIWx+164 input" Text (83)="PIWx+166 input" Text (84)="PIWx+168 input" Text (85)="PIWx+170 input" Text (86)="PIWx+172 input" Text (87)="PIWx+174 input" Text (88)="PIWx+176 input" Text (89)="PIWx+178 input" Text (90)="PIWx+180 input" Text (91)="PIWx+182 input" Text (92)="PIWx+184 input" Text (93)="PIWx+186 input" Text (94)="PIWx+188 input" Text (95)="PIWx+190 input" Text (96)="PIWx+192 input" Text (97)="PIWx+194 input" Text (98)="PIWx+196 input" Text (99)="PIWx+198 input" Text (100)="PIWx+200 input" Text (101)="PIWx+202 input" Text (102)="PIWx+204 input" Text (103)="PIWx+206 input" Text (104)="PIWx+208 input" Text (105)="PIWx+210 input" Text (106)="PIWx+212 input" Text (107)="PIWx+214 input" Text (108)="PIWx+216 input" Text (109)="PIWx+218 input" Text (110)="PIWx+220 input" Text (111)="PIWx+222 input" Text (112)="PIWx+224 input" Text (113)="PIWx+226 input" Text (114)="PIWx+228 input" Text (115)="PIWx+230 input" Text (116)="PIWx+232 input" Text (117)="PIWx+234 input" Text (118)="PIWx+236 input" Text (119)="PIWx+238 input" Text (120)="PIWx+240 input" Text (121)="PIWx+242 input" ; Text (122)="PQWx+0 output" Text (123)="PQWx+2 output" Text (124)="PQWx+4 output" Text (125)="PQWx+6 output" Text (126)="PQWx+8 output" Text (127)="PQWx+10 output" Text (128)="PQWx+12 output" Text (129)="PQWx+14 output" Text (130)="PQWx+16 output" Text (131)="PQWx+18 output" Text (132)="PQWx+20 output" Text (133)="PQWx+22 output" Text (134)="PQWx+24 output" Text (135)="PQWx+26 output" Text (136)="PQWx+28 output" Text (137)="PQWx+30 output" Text (138)="PQWx+32 output" Text (139)="PQWx+34 output" Text (140)="PQWx+36 output" Text (141)="PQWx+38 output" Text (142)="PQWx+40 output" Text (143)="PQWx+42 output" Text (144)="PQWx+44 output" Text (145)="PQWx+46 output" Text (146)="PQWx+48 output" Text (147)="PQWx+50 output" Text (148)="PQWx+52 output" Text (149)="PQWx+54 output" Text (150)="PQWx+56 output" Text (151)="PQWx+58 output" Text (152)="PQWx+60 output" Text (153)="PQWx+62 output" Text (154)="PQWx+64 output" Text (155)="PQWx+66 output" Text (156)="PQWx+68 output" Text (157)="PQWx+70 output" Text (158)="PQWx+72 output" Text (159)="PQWx+74 output" Text (160)="PQWx+76 output" Text (161)="PQWx+78 output" Text (162)="PQWx+80 output" Text (163)="PQWx+82 output" Text (164)="PQWx+84 output" Text (165)="PQWx+86 output" Text (166)="PQWx+88 output" Text (167)="PQWx+90 output" Text (168)="PQWx+92 output" Text (169)="PQWx+94 output" Text (170)="PQWx+96 output" Text (171)="PQWx+98 output" Text (172)="PQWx+100 output" Text (173)="PQWx+102 output" Text (174)="PQWx+104 output" Text (175)="PQWx+106 output" Text (176)="PQWx+108 output" Text (177)="PQWx+110 output" Text (178)="PQWx+112 output" Text (179)="PQWx+114 output" Text (180)="PQWx+116 output" Text (181)="PQWx+118 output" Text (182)="PQWx+120 output" Text (183)="PQWx+122 output" Text (184)="PQWx+124 output" Text (185)="PQWx+126 output" Text (186)="PQWx+128 output" Text (187)="PQWx+130 output" Text (188)="PQWx+132 output" Text (189)="PQWx+134 output" Text (190)="PQWx+136 output" Text (191)="PQWx+138 output" Text (192)="PQWx+140 output" Text (193)="PQWx+142 output" Text (194)="PQWx+144 output" Text (195)="PQWx+146 output" Text (196)="PQWx+148 output" Text (197)="PQWx+150 output" Text (198)="PQWx+152 output" Text (199)="PQWx+154 output" Text (200)="PQWx+156 output" Text (201)="PQWx+158 output" Text (202)="PQWx+160 output" Text (203)="PQWx+162 output" Text (204)="PQWx+164 output" Text (205)="PQWx+166 output" Text (206)="PQWx+168 output" Text (207)="PQWx+170 output" Text (208)="PQWx+172 output" Text (209)="PQWx+174 output" Text (210)="PQWx+176 output" Text (211)="PQWx+178 output" Text (212)="PQWx+180 output" Text (213)="PQWx+182 output" Text (214)="PQWx+184 output" Text (215)="PQWx+186 output" Text (216)="PQWx+188 output" Text (217)="PQWx+190 output" Text (218)="PQWx+192 output" Text (219)="PQWx+194 output" Text (220)="PQWx+196 output" Text (221)="PQWx+198 output" Text (222)="PQWx+200 output" Text (223)="PQWx+202 output" Text (224)="PQWx+204 output" Text (225)="PQWx+206 output" Text (226)="PQWx+208 output" Text (227)="PQWx+210 output" Text (228)="PQWx+212 output" Text (229)="PQWx+214 output" Text (230)="PQWx+216 output" Text (231)="PQWx+218 output" Text (232)="PQWx+220 output" Text (233)="PQWx+222 output" Text (234)="PQWx+224 output" Text (235)="PQWx+226 output" Text (236)="PQWx+228 output" Text (237)="PQWx+230 output" Text (238)="PQWx+232 output" Text (239)="PQWx+234 output" Text (240)="PQWx+236 output" Text (241)="PQWx+238 output" Text (242)="PQWx+240 output" Text (243)="PQWx+242 output" EndPrmText ; PrmText=1 Text (0)="0" Text (1)="1" Text (2)="2" Text (3)="3" Text (4)="4" Text (5)="5" Text (6)="6" Text (7)="7" Text (8)="8" Text (9)="9" Text (10)="10" Text (11)="11" Text (12)="12" Text (13)="13" Text (14)="14" Text (15)="15" Text (16)="16" Text (17)="17" Text (18)="18" Text (19)="19" Text (20)="20" Text (21)="21" Text (22)="22" Text (23)="23" Text (24)="24" Text (25)="25" Text (26)="26" Text (27)="27" Text (28)="28" Text (29)="29" Text (30)="30" Text (31)="31" Text (32)="32" Text (33)="33" Text (34)="34" Text (35)="35" Text (36)="36" Text (37)="37" Text (38)="38" Text (39)="39" Text (40)="40" Text (41)="41" Text (42)="42" Text (43)="43" Text (44)="44" Text (45)="45" Text (46)="46" Text (47)="47" Text (48)="48" Text (49)="49" Text (50)="50" Text (51)="51" Text (52)="52" Text (53)="53" Text (54)="54" Text (55)="55" Text (56)="56" Text (57)="57" Text (58)="58" Text (59)="59" Text (60)="60" Text (61)="61" Text (62)="62" Text (63)="63" Text (64)="64" Text (65)="65" Text (66)="66" Text (67)="67" Text (68)="68" Text (69)="69" Text (70)="70" Text (71)="71" Text (72)="72" Text (73)="73" Text (74)="74" Text (75)="75" Text (76)="76" Text (77)="77" Text (78)="78" Text (79)="79" Text (80)="80" Text (81)="81" Text (82)="82" Text (83)="83" Text (84)="84" Text (85)="85" Text (86)="86" Text (87)="87" Text (88)="88" Text (89)="89" Text (90)="90" Text (91)="91" Text (92)="92" Text (93)="93" Text (94)="94" Text (95)="95" Text (96)="96" Text (97)="97" Text (98)="98" Text (99)="99" Text (100)="100" Text (101)="101" Text (102)="102" Text (103)="103" Text (104)="104" Text (105)="105" Text (106)="106" Text (107)="107" Text (108)="108" Text (109)="109" Text (110)="110" Text (111)="111" Text (112)="112" Text (113)="113" Text (114)="114" Text (115)="115" Text (116)="116" Text (117)="117" Text (118)="118" Text (119)="119" Text (120)="120" Text (121)="121" Text (122)="122" Text (123)="123" Text (124)="124" Text (125)="125" Text (126)="126" Text (127)="127" Text (128)="128" Text (129)="129" Text (130)="130" Text (131)="131" Text (132)="132" Text (133)="133" Text (134)="134" Text (135)="135" Text (136)="136" Text (137)="137" Text (138)="138" Text (139)="139" Text (140)="140" Text (141)="141" Text (142)="142" Text (143)="143" Text (144)="144" Text (145)="145" Text (146)="146" Text (147)="147" Text (148)="148" Text (149)="149" Text (150)="150" Text (151)="151" Text (152)="152" Text (153)="153" Text (154)="154" Text (155)="155" Text (156)="156" Text (157)="157" Text (158)="158" Text (159)="159" Text (160)="160" Text (161)="161" Text (162)="162" Text (163)="163" Text (164)="164" Text (165)="165" Text (166)="166" Text (167)="167" Text (168)="168" Text (169)="169" Text (170)="170" Text (171)="171" Text (172)="172" Text (173)="173" Text (174)="174" Text (175)="175" Text (176)="176" Text (177)="177" Text (178)="178" Text (179)="179" Text (180)="180" Text (181)="181" Text (182)="182" Text (183)="183" Text (184)="184" Text (185)="185" Text (186)="186" Text (187)="187" Text (188)="188" Text (189)="189" Text (190)="190" Text (191)="191" Text (192)="192" Text (193)="193" Text (194)="194" Text (195)="195" Text (196)="196" Text (197)="197" Text (198)="198" Text (199)="199" Text (200)="200" Text (201)="201" Text (202)="202" Text (203)="203" Text (204)="204" Text (205)="205" Text (206)="206" Text (207)="207" Text (208)="208" Text (209)="209" Text (210)="210" Text (211)="211" Text (212)="212" Text (213)="213" Text (214)="214" Text (215)="215" Text (216)="216" Text (217)="217" Text (218)="218" Text (219)="219" Text (220)="220" Text (221)="221" Text (222)="222" Text (223)="223" Text (224)="224" Text (225)="225" Text (226)="226" Text (227)="227" Text (228)="228" Text (229)="229" Text (230)="230" Text (231)="231" Text (232)="232" Text (233)="233" Text (234)="234" Text (235)="235" Text (236)="236" Text (237)="237" Text (238)="238" Text (239)="239" Text (240)="240" Text (241)="241" Text (242)="242" Text (243)="243" Text (244)="244" Text (245)="245" Text (246)="246" Text (247)="247" Text (248)="248" Text (249)="249" Text (250)="250" Text (251)="251" Text (252)="252" Text (253)="253" Text (254)="254" Text (255)="255" EndPrmText ; PrmText=2 Text (0)="1.2K,n,8,1" Text (1)="2.4K,n,8,1" Text (2)="4.8K,n,8,1" Text (3)="9.6K,n,8,1" Text (4)="19.2K,n,8,1" Text (5)="38.4K,n,8,1" Text (6)="57.6K,n,8,1" Text (7)="115.2K,n,8,1" Text (8)="1.2K,o,8,1" Text (9)="2.4K,o,8,1" Text (10)="4.8K,o,8,1" Text (11)="9.6K,o,8,1" Text (12)="19.2K,o,8,1" Text (13)="38.4K,o,8,1" Text (14)="57.6K,o,8,1" Text (15)="115.2K,o,8,1" Text (16)="1.2K,e,8,1" Text (17)="2.4K,e,8,1" Text (18)="4.8K,e,8,1" Text (19)="9.6K,e,8,1" Text (20)="19.2K,e,8,1" Text (21)="38.4K,e,8,1" Text (22)="57.6K,e,8,1" Text (23)="115.2K,e,8,1" EndPrmText ; PrmText=3 Text (0)="NULL" Text (1)="1" Text (2)="2" Text (3)="3" Text (4)="4" Text (5)="5" Text (6)="6" Text (15)="15" Text (16)="16" EndPrmText ; ; ExtUserPrmData=0 "ModBus Timeout(x10ms)" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=1 "ModBus ScanInterval(x10ms)" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=2 "MODBUS Communicate Para" Unsigned8 3 0-23 Prm_Text_Ref=2 EndExtUserPrmData ; ExtUserPrmData=3 "1# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=4 "1# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=5 "1# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=6 "1# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=7 "1# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=8 "1# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=9 "2# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=10 "2# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=11 "2# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=12 "2# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=13 "2# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=14 "2# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=15 "3# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=16 "3# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=17 "3# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=18 "3# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=19 "3# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=20 "3# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=21 "4# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=22 "4# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=23 "4# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=24 "4# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=25 "4# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=26 "4# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=27 "5# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=28 "5# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=29 "5# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=30 "5# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=31 "5# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=32 "5# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=33 "6# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=34 "6# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=35 "6# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=36 "6# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=37 "6# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=38 "6# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=39 "7# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=40 "7# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=41 "7# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=42 "7# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=43 "7# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=44 "7# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=45 "8# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=46 "8# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=47 "8# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=48 "8# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=49 "8# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=50 "8# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=51 "9# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=52 "9# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=53 "9# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=54 "9# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=55 "9# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=56 "9# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=57 "10# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=58 "10# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=59 "10# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=60 "10# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=61 "10# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=62 "10# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=63 "11# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=64 "11# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=65 "11# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=66 "11# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=67 "11# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=68 "11# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=69 "12# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=70 "12# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=71 "12# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=72 "12# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=73 "12# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=74 "12# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=75 "13# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=76 "13# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=77 "13# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=78 "13# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=79 "13# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=80 "13# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=81 "14# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=82 "14# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=83 "14# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=84 "14# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=85 "14# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=86 "14# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ExtUserPrmData=87 "15# ModBus Slave Address" Unsigned8 1 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=88 "15# ModBus Command" Unsigned8 0 0-16 Prm_Text_Ref=3 EndExtUserPrmData ExtUserPrmData=89 "15# ModBus Reg StartAdd H" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=90 "15# ModBus Reg StartAdd L" Unsigned8 0 0-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=91 "15# ModBus R/W Length" Unsigned8 1 1-255 Prm_Text_Ref=1 EndExtUserPrmData ExtUserPrmData=92 "15# Profibus Reg" Unsigned8 0 0-244 Prm_Text_Ref=0 EndExtUserPrmData ;=================================================== ; Unit-Definition-List: GSD_Revision = 2 Vendor_Name = "SANGE ELEC CO.,LTD" Model_Name = "Modbus_M-Profibus DP" Revision = "V1.0" Ident_Number = 0xF000 Protocol_Ident = 0 Station_Type = 0 Hardware_Release = "V1.0" Software_Release = "V1.0" 9.6_supp = 1 19.2_supp = 1 93.75_supp = 1 187.5_supp = 1 500_supp = 1 1.5M_supp = 1 3M_supp = 1 6M_supp = 1 12M_supp = 1 MaxTsdr_9.6 = 60 MaxTsdr_19.2 = 60 MaxTsdr_93.75 = 60 MaxTsdr_187.5 = 60 MaxTsdr_500 = 100 MaxTsdr_1.5M = 150 MaxTsdr_3M = 250 MaxTsdr_6M = 450 MaxTsdr_12M = 800 Redundancy = 1 Repeater_Ctrl_Sig = 2 ; ; Slave-Specification: 24V_Pins = 2 ; Implementation_Type = "VPC3+C" Bitmap_Device = "sange" Bitmap_SF = "bmpsf" OrderNumber = "Profibus-dp to MODBUS Slave" Freeze_Mode_supp = 1 Sync_Mode_supp = 1 Auto_Baud_supp = 1 Set_Slave_Add_supp = 0 Min_Slave_Intervall = 1 ; ;Modular_Station = 1 Max_Module = 2 Max_Input_Len = 244 Max_Output_Len = 244 Max_Data_Len = 488 ; ; Module-Definitions: ; Modul_Offset = 0 Max_User_Prm_Data_Len = 110 Fail_Safe = 0 Max_Diag_Data_Len = 16 Slave_Family = 3@TdF@Modbus_M-Profibus DP User_Prm_Data_Len=97 Ext_User_Prm_Data_Const(0) = 0x00,0x00,0x00,0x01 Ext_User_Prm_Data_Ref (4)=0 Ext_User_Prm_Data_Ref (5)=1 Ext_User_Prm_Data_Ref (6)=2 Ext_User_Prm_Data_Ref (7)=3 Ext_User_Prm_Data_Ref (8)=4 Ext_User_Prm_Data_Ref (9)=5 Ext_User_Prm_Data_Ref (10)=6 Ext_User_Prm_Data_Ref (11)=7 Ext_User_Prm_Data_Ref (12)=8 Ext_User_Prm_Data_Ref (13)=9 Ext_User_Prm_Data_Ref (14)=10 Ext_User_Prm_Data_Ref (15)=11 Ext_User_Prm_Data_Ref (16)=12 Ext_User_Prm_Data_Ref (17)=13 Ext_User_Prm_Data_Ref (18)=14 Ext_User_Prm_Data_Ref (19)=15 Ext_User_Prm_Data_Ref (20)=16 Ext_User_Prm_Data_Ref (21)=17 Ext_User_Prm_Data_Ref (22)=18 Ext_User_Prm_Data_Ref (23)=19 Ext_User_Prm_Data_Ref (24)=20 Ext_User_Prm_Data_Ref (25)=21 Ext_User_Prm_Data_Ref (26)=22 Ext_User_Prm_Data_Ref (27)=23 Ext_User_Prm_Data_Ref (28)=24 Ext_User_Prm_Data_Ref (29)=25 Ext_User_Prm_Data_Ref (30)=26 Ext_User_Prm_Data_Ref (31)=27 Ext_User_Prm_Data_Ref (32)=28 Ext_User_Prm_Data_Ref (33)=29 Ext_User_Prm_Data_Ref (34)=30 Ext_User_Prm_Data_Ref (35)=31 Ext_User_Prm_Data_Ref (36)=32 Ext_User_Prm_Data_Ref (37)=33 Ext_User_Prm_Data_Ref (38)=34 Ext_User_Prm_Data_Ref (39)=35 Ext_User_Prm_Data_Ref (40)=36 Ext_User_Prm_Data_Ref (41)=37 Ext_User_Prm_Data_Ref (42)=38 Ext_User_Prm_Data_Ref (43)=39 Ext_User_Prm_Data_Ref (44)=40 Ext_User_Prm_Data_Ref (45)=41 Ext_User_Prm_Data_Ref (46)=42 Ext_User_Prm_Data_Ref (47)=43 Ext_User_Prm_Data_Ref (48)=44 Ext_User_Prm_Data_Ref (49)=45 Ext_User_Prm_Data_Ref (50)=46 Ext_User_Prm_Data_Ref (51)=47 Ext_User_Prm_Data_Ref (52)=48 Ext_User_Prm_Data_Ref (53)=49 Ext_User_Prm_Data_Ref (54)=50 Ext_User_Prm_Data_Ref (55)=51 Ext_User_Prm_Data_Ref (56)=52 Ext_User_Prm_Data_Ref (57)=53 Ext_User_Prm_Data_Ref (58)=54 Ext_User_Prm_Data_Ref (59)=55 Ext_User_Prm_Data_Ref (60)=56 Ext_User_Prm_Data_Ref (61)=57 Ext_User_Prm_Data_Ref (62)=58 Ext_User_Prm_Data_Ref (63)=59 Ext_User_Prm_Data_Ref (64)=60 Ext_User_Prm_Data_Ref (65)=61 Ext_User_Prm_Data_Ref (66)=62 Ext_User_Prm_Data_Ref (67)=63 Ext_User_Prm_Data_Ref (68)=64 Ext_User_Prm_Data_Ref (69)=65 Ext_User_Prm_Data_Ref (70)=66 Ext_User_Prm_Data_Ref (71)=67 Ext_User_Prm_Data_Ref (72)=68 Ext_User_Prm_Data_Ref (73)=69 Ext_User_Prm_Data_Ref (74)=70 Ext_User_Prm_Data_Ref (75)=71 Ext_User_Prm_Data_Ref (76)=72 Ext_User_Prm_Data_Ref (77)=73 Ext_User_Prm_Data_Ref (78)=74 Ext_User_Prm_Data_Ref (79)=75 Ext_User_Prm_Data_Ref (80)=76 Ext_User_Prm_Data_Ref (81)=77 Ext_User_Prm_Data_Ref (82)=78 Ext_User_Prm_Data_Ref (83)=79 Ext_User_Prm_Data_Ref (84)=80 Ext_User_Prm_Data_Ref (85)=81 Ext_User_Prm_Data_Ref (86)=82 Ext_User_Prm_Data_Ref (87)=83 Ext_User_Prm_Data_Ref (88)=84 Ext_User_Prm_Data_Ref (89)=85 Ext_User_Prm_Data_Ref (90)=86 Ext_User_Prm_Data_Ref (91)=87 Ext_User_Prm_Data_Ref (92)=88 Ext_User_Prm_Data_Ref (93)=89 Ext_User_Prm_Data_Ref (94)=90 Ext_User_Prm_Data_Ref (95)=91 Ext_User_Prm_Data_Ref (96)=92 Module = "4 byte in 4 byte out" 0x13,0x23 EndModule Module = "8 byte in 8 byte out" 0x17,0x27 EndModule Module = "16 byte in 16 byte out" 0x1f,0x2f EndModule Module = "32 byte in 32 byte out" 0x5f,0x6f EndModule Module = "64 byte in 64 byte out" 0x5f,0x5f,0x6f,0x6f EndModule Module = " 128 byte in 128 byte out" 0x5f,0x5f,0x5f,0x5f,0x6f,0x6f,0x6f,0x6f EndModule Module = " 244 byte in" 0x5f,0x5f,0x5f,0x5f,0x5f,0x5f,0x5f,0x59 EndModule Module = " 244 byte out"0x6f,0x6f,0x6f,0x6f,0x6f,0x6f,0x6f,0x69 EndModule